conway 发表于 2015-9-1 11:12:49

Vivado工程更新IP核

如果用一个旧版本的Vivado创建一个工程,然后用新版本的Vivado去打开,可能会提示工程无法综合,双击一些IP核进行配置的时候,提示IP已经被锁,如:
原因是新的Vivado版本的IP核版本已经更新,而工程里边的IP核还是旧版本的,需要先更新IP核,才能综合,如果使用了第三方的IP核,必须把第三方的IP核路径添加到工程中,才能更新第三方的IP核。下面以MYIR科技 Zturn板的HDMI工程为例,介绍怎样更新IP核,该工程用Vivado 2014.3版本创建,然后用Vivado 2014.4打开。用Vivado 2014.4打开工程mys-xc7z010-arm-hmmi-xylon之后,先生成IP核的状态报告:在底下的IPStatus窗口,可以看来IP核状态:从这个报告,可以看出,logicvc_1和logicclk_0 这两个IP核没有找到,原因是这两个IP核是Xylon的IP核,不是Vivado自带的,需要将IP核的路径添加到工程中。util_vector_logic_0~2等IP核版本有更新。下面先将Xylon的IP核路径添加到工程,然后更新IP核版本。点击工具栏上的Project Settings,打开ProjectSettings窗口:
点击IP->Add Repository, 添加xylonIP核路径,添加之后如下图所示:重新生成IP核状态报告:发现logicvc_1和logiclk_0的状太已经变为IP License not found,原因是这两个IP核还需要license,关于license的添加请参考文档《MYIR-Xilinx-AN-002_Vivado添加license》。添加License之后,再重新生成IP核状态报告:点击左下角的Upgrade Selected 更新IP核即可,更新完之后的IP状态如下:


页: [1]
查看完整版本: Vivado工程更新IP核